Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Crash, cannot handle psl prev #2601

Open
cderrien opened this issue Feb 6, 2024 · 1 comment
Open

Crash, cannot handle psl prev #2601

cderrien opened this issue Feb 6, 2024 · 1 comment

Comments

@cderrien
Copy link
Contributor

cderrien commented Feb 6, 2024

A ghdl bug occurs when the input file contains the psl function prev.

See files below.

entity prev is
  port (
    clk : in bit;
    ena : in boolean
    );
end entity;

architecture behaviour of prev is

  signal rindex : natural := 0;

begin

  default clock is rising_edge(clk);
  psl_assertion : assert always ena |=> (rindex = prev(rindex));

end architecture;
ghdl -a --std=08 prev.vhdl

Ghdl was compiled from source (commit f9086ac), with the llvm back-end, on Ubuntu 22.
See bug box and the error message below.

translate_expression: cannot handle IIR_KIND_PSL_PREV (prev.vhdl:16:51)

******************** GHDL Bug occurred ***************************
Please report this bug on https://github.com/ghdl/ghdl/issues
GHDL release: 4.0.0-dev (3.0.0.r857.gf9086ac6c) [Dunoon edition]
Compiled with GNAT Version: 10.5.0
Target: x86_64-linux-gnu
<redacted>
Command line:
/usr/local/bin/ghdl1-llvm --std=08 -P/usr/local/lib/ghdl/ieee/v08/ -P/usr/local/lib/ghdl/ -fpic -c -o prev.o prev.vhdl
Exception TYPES.INTERNAL_ERROR raised
Exception information:
raised TYPES.INTERNAL_ERROR : vhdl-errors.adb:30
Call stack traceback locations:
0x55cae6f9d0f4 0x55cae71a5d09 0x55cae719a8d4 0x55cae71a557d 0x55cae7203d08 0x55cae7203ea4 0x55cae72053c7 0x55cae7207f0f 0x55cae71bdfca 0x55cae71aff4e 0x55cae72135df 0x55cae7215031 0x55cae7216685 0x55cae6dc8ff1 0x7f805b279d8e 0x7f805b279e3e 0x55cae6dc7823 0xfffffffffffffffe
******************************************************************```
@tgingold
Copy link
Member

tgingold commented Feb 6, 2024

Yes, currently it is supported only by synthesis.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants