Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[Bug] --vendor-library=NAME ha no effect during synthesys #2523

Open
pidgeon777 opened this issue Oct 28, 2023 · 6 comments
Open

[Bug] --vendor-library=NAME ha no effect during synthesys #2523

pidgeon777 opened this issue Oct 28, 2023 · 6 comments

Comments

@pidgeon777
Copy link

Description

--vendor-library=NAME is a synth option which allows to replace any unit from LIB_NAME with a black box during synthesys:

https://ghdl.github.io/ghdl/using/Synthesis.html

I'm using that option as an argument to the synthesys:

ghdl make -Wall -fsynopsys -fexplicit --workdir=ghdl_lib -PC:/GHDL/lib/ghdl/vendors/altera -PC:/GHDL/lib/ghdl/vendors/xilinx-vivado --std=08 -frelaxed Top_ENT && MKDIR ghdl_out & ghdl synth -Wall -fsynopsys -fexplicit --workdir=ghdl_lib -PC:/GHDL/lib/ghdl/vendors/altera -PC:/GHDL/lib/ghdl/vendors/xilinx-vivado --std=08 -frelaxed --out=verilog --latches --vendor-library=unisim --vendor-library=altera_mf Top_ENT

Problem is that ghdl reports an error, signal assignment not allowed here for a Xilinx source file:

C:\Xilinx\Vivado\2020.2\data\vhdl\src\unisims\primitive\IBUFDS.vhd

of an entity which probably gets instantiated in one of my source files, which belongs to the unisim library.

Also, all of the source files defined in the altera_mf library get parsed and analyzed, even if the --vendor-library argument is applied:

sources_1/new/FINDER/fifo_512word_32bit.vhd:61:14:warning: (in default configuration of fifo_512word_32bit(syn))
C:\altera\16.0\quartus\eda\sim_lib\altera_mf.vhd:48462:5:warning: port "eccstatus" of entity "dcfifo_mixed_widths" is not bound [-Wbinding]
    DCFIFO_MW : DCFIFO_MIXED_WIDTHS
    ^
C:\altera\16.0\quartus\eda\sim_lib\altera_mf.vhd:48406:14:warning: (in default configuration of dcfifo(behavior))
C:\altera\16.0\quartus\eda\sim_lib\altera_mf.vhd:47598:14:warning: declaration of "mem_data" hides signal "mem_data" [-Whide]
    variable mem_data : LPM_MEMORY := (OTHERS => ZEROS_R);
             ^
C:\altera\16.0\quartus\eda\sim_lib\altera_mf.vhd:47596:34:warning: extra signal "write_aclr" in sensitivity list [-Wsensitivity]
    process (aclr, wrclk, rdclk, write_aclr, read_aclr)
                                 ^
C:\altera\16.0\quartus\eda\sim_lib\altera_mf.vhd:47596:46:warning: extra signal "read_aclr" in sensitivity list [-Wsensitivity]
    process (aclr, wrclk, rdclk, write_aclr, read_aclr)
                                             ^
C:\altera\16.0\quartus\eda\sim_lib\altera_mf.vhd:47505:8:warning: signal "mem_data" is never referenced [-Wunused]
signal mem_data : LPM_MEMORY := (OTHERS => ZEROS_R);
       ^
C:\altera\16.0\quartus\eda\sim_lib\altera_mf.vhd:47513:8:warning: signal "i_showahead_flag" is never referenced [-Wunused]
signal i_showahead_flag : std_logic := '0';
       ^
sources_1/new/fifo_kword_mbit.vhd:252:17:warning: instance "fifo_512word_32bit_xil_inst" of component "fifo_512word_32bit_xil" is not bound [-Wbinding]
                fifo_512word_32bit_xil_inst : fifo_512word_32bit_xil

Expected behaviour

I would expect --vendor-library=unisim to skip the IBUFDS entity analysis defined in the Xilinx unisim library, so that the error is not generated during synthesys (IBUFDS instances would be considered as black boxes?).

How to reproduce?

ghdl make -Wall -fsynopsys -fexplicit --workdir=ghdl_lib -PC:/GHDL/lib/ghdl/vendors/altera -PC:/GHDL/lib/ghdl/vendors/xilinx-vivado --std=08 -frelaxed Top_ENT && MKDIR ghdl_out & ghdl synth -Wall -fsynopsys -fexplicit --workdir=ghdl_lib -PC:/GHDL/lib/ghdl/vendors/altera -PC:/GHDL/lib/ghdl/vendors/xilinx-vivado --std=08 -frelaxed --out=verilog --latches --vendor-library=unisim --vendor-library=altera_mf Top_ENT

Context

Please, provide the following information:

  • OS: Windows 10 Pro
  • Origin: Official ghdl repository, built from sources.
@tgingold
Copy link
Member

tgingold commented Nov 4, 2023

How did you instantiate IBUFDS ? A reproducer would be nice.
It is expected that files are analyzed.

@pidgeon777
Copy link
Author

How did you instantiate IBUFDS ? A reproducer would be nice. It is expected that files are analyzed.

@tgingold My VHDL file content, which I want to synthesize, is:

-------------------------------------------------------------------------------
--
-- (c) Copyright 2020-2023 Advanced Micro Devices, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of AMD and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- AMD, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND AMD HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) AMD shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or AMD had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- AMD products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of AMD products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------
-- Project    : Series-7 Integrated Block for PCI Express
-- File       : PcieBlock_x1_pcie_bram_7x.vhd
-- Version    : 3.3
--  Description : single bram wrapper for the mb pcie block
--                The bram A port is the write port
--                the      B port is the read port
--
--
---------------------------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_unsigned.all;

library unisim;
use unisim.vcomponents.all;

library unimacro;
use unimacro.vcomponents.all;

entity PcieBlock_x1_pcie_bram_7x      is
  generic(
    LINK_CAP_MAX_LINK_SPEED : INTEGER := 1;             -- PCIe Link Speed : 1 - 2.5 GT/s; 2 - 5.0 GT/s
    LINK_CAP_MAX_LINK_WIDTH : INTEGER := 8;             -- PCIe Link Width : 1 / 2 / 4 / 8
    IMPL_TARGET             : STRING := "HARD";         -- the implementation target : HARD, SOFT
    DOB_REG                 : INTEGER := 0;             -- 1 - use the output register;
                                                        -- 0 - don't use the output register
    WIDTH                   : INTEGER := 0              -- supported WIDTH's : 4, 9, 18, 36 - uses RAMB36
                                                        --                     72 - uses RAMB36SDP
  );
   port (

      user_clk_i                           : in std_logic;                              -- user clock
      reset_i                              : in std_logic;                              -- bram reset
      wen_i                                : in std_logic;                              -- write enable
      waddr_i                              : in std_logic_vector(12 downto 0);          -- write address
      wdata_i                              : in std_logic_vector(WIDTH - 1 downto 0);   -- write data
      ren_i                                : in std_logic;                              -- read enable
      rce_i                                : in std_logic;                              -- output register clock enable
      raddr_i                              : in std_logic_vector(12 downto 0);          -- read address
      rdata_o                              : out std_logic_vector(WIDTH - 1 downto 0)   -- read data
   );
end PcieBlock_x1_pcie_bram_7x;

architecture v7_pcie of PcieBlock_x1_pcie_bram_7x is
   attribute DowngradeIPIdentifiedWarnings: string;
   attribute DowngradeIPIdentifiedWarnings of v7_pcie : architecture is "yes";

  -- map the address bits
  function msb_addr (
    constant wdt   : integer)
    return integer is
     variable addr_msb : integer := 8;
  begin  -- msb_addr

    if (wdt = 4) then
      addr_msb := 12;
    elsif (wdt = 9) then
      addr_msb := 11;
    elsif (wdt = 18) then
      addr_msb := 10;
    elsif (wdt = 36) then
      addr_msb := 9;
    else
      addr_msb := 8;
    end if;
    return addr_msb;
  end msb_addr;

      constant ADDR_MSB                    : integer := msb_addr(WIDTH);

      -- set the width of the tied off low address bits
  function alb (
    constant wdt   : integer)
    return integer is
     variable addr_lo_bit : integer := 8;
  begin  -- alb

    if (wdt = 4) then
      addr_lo_bit := 2;
    elsif (wdt = 9) then
      addr_lo_bit := 3;
    elsif (wdt = 18) then
      addr_lo_bit := 4;
    elsif (wdt = 36) then
      addr_lo_bit := 5;
    else
      addr_lo_bit := 0;      -- for WIDTH 72 use RAMB36SDP
    end if;
    return addr_lo_bit;
  end alb;

      constant ADDR_LO_BITS                : integer := alb(WIDTH);

      -- map the data bits
  function msb_d (
    constant wdt   : integer)
    return integer is
     variable dmsb : integer := 8;
  begin  -- msb_d

    if (wdt = 4) then
      dmsb := 3;
    elsif (wdt = 9) then
      dmsb := 7;
    elsif (wdt = 18) then
      dmsb := 15;
    elsif (wdt = 36) then
      dmsb := 31;
    else
      dmsb := 63;
    end if;
    return dmsb;
  end msb_d;

      constant D_MSB                       : integer :=  msb_d(WIDTH);

      -- map the data parity bits
      constant DP_LSB                      : integer := D_MSB + 1;

  function msb_dp (
    constant wdt   : integer)
    return integer is
     variable dpmsb : integer := 8;
  begin  -- msb_dp

    if (wdt = 4) then
      dpmsb := 4;
    elsif (wdt = 9) then
      dpmsb := 8;
    elsif (wdt = 18) then
      dpmsb := 17;
    elsif (wdt = 36) then
      dpmsb := 35;
    else
      dpmsb := 71;
    end if;
    return dpmsb;
  end msb_dp;

  function pad_val (
    in_vec   : std_logic_vector;
    range_hi : integer;
    range_lo : integer;
    pad      : std_logic;
    op_len   : integer)
    return std_logic_vector is
   variable ret : std_logic_vector(op_len-1 downto 0) := (others => '0');
  begin  -- pad_val
    for i in 0 to op_len-1 loop
      if ((i >= range_lo) and (i <= range_hi)) then
        ret(i) := in_vec(i - range_lo);
      else
        ret(i) := pad;
      end if;
    end loop;  -- i
    return ret;
  end pad_val;

  function device_val (
    impl_target   : string)
    return string is
  begin  -- dev
    if (impl_target = "HARD") then
      return "7SERIES";
    else
      return "VIRTEX6";
    end if;
  end device_val;

  function get_write_mode (
    link_width : integer;
    WIDTH      : integer;
    link_speed : integer)
    return string is
  begin  -- wr_mode
    if ((WIDTH = 72) and (not((link_width =8) and (link_speed = 2)))) then
      return "WRITE_FIRST";
    elsif ((link_width =8) and (link_speed = 2)) then
      return "WRITE_FIRST";
    else
      return "NO_CHANGE";
    end if;
  end get_write_mode;

  function get_we_width (
    DEVICE   : string;
    WIDTH  : integer)
    return integer is
  begin  -- wr_mode
    if ((DEVICE = "VIRTEX5") or (DEVICE = "VIRTEX6") or (DEVICE = "7SERIES")) then
      if (WIDTH <= 9) then
        return 1;
      elsif (WIDTH > 9 and WIDTH <= 18) then
        return 2;
      elsif (WIDTH > 18 and WIDTH <= 36) then
        return 4;
      elsif (WIDTH > 36 and WIDTH <= 72) then
        return 8;
      else
        return 8;
      end if;
    else
      return 8;
    end if;
  end get_we_width;

  constant DP_MSB                      : integer :=  msb_dp(WIDTH);
  constant DPW                         : integer := DP_MSB - DP_LSB + 1;
  constant WRITE_MODE                  : string  := get_write_mode(LINK_CAP_MAX_LINK_WIDTH,WIDTH,LINK_CAP_MAX_LINK_SPEED);
  constant BRAM_SIZE                   : string  := "36Kb";
  constant DEVICE                      : string  := device_val(IMPL_TARGET);
  constant WE_WIDTH                    : integer := get_we_width(DEVICE,WIDTH);

  signal DIB_dummy                     : std_logic_vector ((WIDTH-1) downto 0);
  signal WE_dummy_gnd                  : std_logic_vector ((WE_WIDTH-1) downto 0);
  signal WE_dummy_vcc                  : std_logic_vector ((WE_WIDTH-1) downto 0);
  signal rdata_o_dummy                 : std_logic_vector (WIDTH-1 downto 0);

  begin
    -- Tie off dummy vectors
    DIB_dummy     <= (others => '0');
    WE_dummy_gnd  <= (others => '0');
    WE_dummy_vcc  <= (others => '1');

   --synthesis translate_off
   process
   begin
      --$display("[%t] %m DOB_REG %0d WIDTH %0d ADDR_MSB %0d ADDR_LO_BITS %0d DP_MSB %0d DP_LSB %0d D_MSB %0d",
      --          $time, DOB_REG,   WIDTH,    ADDR_MSB,    ADDR_LO_BITS,    DP_MSB,    DP_LSB,    D_MSB);

      case WIDTH is
         when 4 | 9 | 18 | 36 | 72 =>
         when others =>  -- case (WIDTH)
            -- $display("[%t] %m Error WIDTH %0d not supported", now, to_stdlogic(WIDTH));
            -- $finish();
      end case;
      wait;
   end process;

   --synthesis translate_on

   use_sdp : if (((LINK_CAP_MAX_LINK_WIDTH = "001000") and (LINK_CAP_MAX_LINK_SPEED = "0010")) or ( WIDTH = 72)) generate

    --  v6pcie2 <= (others => wen_i);
    --  rdata_o_v6pcie0 <= v6pcie16((DP_MSB - DP_LSB) downto 0) & v6pcie15(D_MSB downto 0);

      -- use RAMB36SDP if the width is 72 or X8GEN2
      ramb36sdp : BRAM_SDP_MACRO
         generic map (
            DEVICE      => DEVICE,
            BRAM_SIZE   => BRAM_SIZE,
            DO_REG      => DOB_REG,
            READ_WIDTH  => WIDTH,
            WRITE_WIDTH => WIDTH,
            WRITE_MODE  => WRITE_MODE
         )
         port map (
            DO      => rdata_o(WIDTH-1 downto 0),
            DI      => wdata_i(WIDTH-1 downto 0),
            RDADDR  => raddr_i(ADDR_MSB downto 0),
            RDCLK   => user_clk_i,
            RDEN    => ren_i,
            REGCE   => rce_i,
            RST     => reset_i,
            WE      => WE_dummy_vcc,
            WRADDR  => waddr_i(ADDR_MSB downto 0),
            WRCLK   => user_clk_i,
            WREN    => wen_i
         );

      -- use RAMB36's if the width is 4, 9, 18, or 36
   end generate;

   use_tdp : if (( WIDTH <= 36) and (not((LINK_CAP_MAX_LINK_WIDTH = "001000") and (LINK_CAP_MAX_LINK_SPEED = "0010")))) generate
        -- use RAMB36SDP if the width is 72 or X8GEN2
      ramb36 : BRAM_TDP_MACRO
         generic map (
            DEVICE        => DEVICE,
            BRAM_SIZE     => BRAM_SIZE,
            DOA_REG       => 0,
            DOB_REG       => DOB_REG,
            READ_WIDTH_A  => WIDTH,
            READ_WIDTH_B  => WIDTH,
            WRITE_WIDTH_A => WIDTH,
            WRITE_WIDTH_B => WIDTH,
            WRITE_MODE_A  => WRITE_MODE
         )
         port map (
            DOA     => rdata_o_dummy(WIDTH-1 downto 0),
            DOB     => rdata_o(WIDTH-1 downto 0),
            ADDRA   => waddr_i(ADDR_MSB downto 0),
            ADDRB   => raddr_i(ADDR_MSB downto 0),
            CLKA    => user_clk_i,
            CLKB    => user_clk_i,
            DIA     => wdata_i(WIDTH-1 downto 0),
            DIB     => DIB_dummy,
            ENA     => wen_i,
            ENB     => ren_i,
            REGCEA  => '0',
            REGCEB  => rce_i,
            RSTA    => reset_i,
            RSTB    => reset_i,
            WEA     => WE_dummy_vcc,
            WEB     => WE_dummy_gnd
         );

    end generate;
end v7_pcie;

The commands I run, are:

ghdl make -Wall -fsynopsys -fexplicit --workdir=ghdl_lib -PC:/GHDL/LLVM/lib/ghdl/vendors/altera -PC:/GHDL/LLVM/lib/ghdl/vendors/xilinx-vivado --std=08 -frelaxed PcieBlock_x1_pcie_bram_7x && ghdl synth -Wall -fsynopsys -fexplicit --workdir=ghdl_lib -PC:/GHDL/LLVM/lib/ghdl/vendors/altera -PC:/GHDL/LLVM/lib/ghdl/vendors/xilinx-vivado --std=08 -frelaxed --out=verilog --vendor-library=unisim --vendor-library=unimacro PcieBlock_x1_pcie_bram_7x > ghdl_out\PcieBlock_x1_pcie_bram_7x_ghdl_synth.v

The errors and warnings generated are:

FPGA\PcieBlock_x1_pcie_bram_7x.vhd|212 col 5 warning| declaration of "impl_target" hides generic "impl_target" [-Whide]
FPGA\PcieBlock_x1_pcie_bram_7x.vhd|224 col 5 warning| declaration of "width" hides generic "width" [-Whide]
FPGA\PcieBlock_x1_pcie_bram_7x.vhd|239 col 5 warning| declaration of "width" hides generic "width" [-Whide]
FPGA\PcieBlock_x1_pcie_bram_7x.vhd|192 col 12 warning| function "pad_val" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|220 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|221 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|280 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|281 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|392 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|393 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|396 col 14 warning| variable "message" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|423 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|424 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|427 col 14 warning| variable "message" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|454 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|485 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|486 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|528 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|529 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|564 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|565 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|599 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|600 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|644 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|707 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|710 col 14 warning| variable "message" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|744 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|745 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|794 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|811 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|840 col 3 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|841 col 3 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|867 col 3 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|891 col 3 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|915 col 3 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|939 col 3 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|960 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|1024 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|1163 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|583 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|588 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|593 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|598 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|603 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|608 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|613 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|619 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|2849 col 9 warning| signal interface "sbiterr" of mode OUT is not connected [-Wmissing-assoc]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|3048 col 7 warning| signal interface "sbiterr" of mode OUT is not connected [-Wmissing-assoc]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|3248 col 7 warning| signal interface "sbiterr" of mode OUT is not connected [-Wmissing-assoc]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|3448 col 7 warning| signal interface "sbiterr" of mode OUT is not connected [-Wmissing-assoc]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd|1519 col 10 warning| signal "init_byte_tmp" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|1036 col 9 warning| declaration of "i" hides variable "i" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|1025 col 14 warning| variable "i" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|1119 col 10 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|1132 col 10 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|1145 col 10 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|1157 col 10 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|1169 col 10 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|1182 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|1198 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd|1211 col 12 warning| declaration of "s" hides constant "s" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5764 col 10 warning| signal "cascadeouta_dly" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5765 col 10 warning| signal "cascadeoutb_dly" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5766 col 10 warning| signal "eccparity_dly" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5767 col 10 warning| signal "dbiterr_dly" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5768 col 10 warning| signal "sbiterr_dly" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5769 col 10 warning| signal "rdaddrecc_dly" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|921 col 9 warning| declaration of "i" hides variable "i" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|911 col 14 warning| variable "i" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|1095 col 25 warning| variable "data_line_tmp" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|1095 col 40 warning| variable "out_data_line" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|1215 col 14 warning| declaration of "fn_dip_ecc" hides function "fn_dip_ecc" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|1608 col 13 warning| declaration of "i" hides variable "i" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|1603 col 14 warning| variable "i" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|1638 col 13 warning| declaration of "i" hides variable "i" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|1633 col 14 warning| variable "i" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|1665 col 13 warning| declaration of "i" hides variable "i" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|1661 col 14 warning| variable "i" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|2533 col 14 warning| variable "junk" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|2735 col 14 warning| variable "junk" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|3394 col 14 warning| variable "tmp_addra_dly_depth" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|3395 col 14 warning| variable "tmp_addra_dly_width" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|3396 col 14 warning| variable "tmp_addrb_dly_depth" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|3397 col 14 warning| variable "tmp_addrb_dly_width" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|3398 col 14 warning| variable "junk1" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|3425 col 14 warning| variable "message" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|3441 col 14 warning| variable "addr_col" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|3447 col 14 warning| variable "string_length_1" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|3448 col 14 warning| variable "string_length_2" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4096 col 49 warning| incomplete sensitivity list, signal "init_a_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4102 col 49 warning| incomplete sensitivity list, signal "init_b_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4117 col 21 warning| incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4118 col 52 warning| incomplete sensitivity list, signal "addra_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4125 col 21 warning| incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4126 col 52 warning| incomplete sensitivity list, signal "addrb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4185 col 13 warning| incomplete sensitivity list, signal "ena_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4185 col 30 warning| incomplete sensitivity list, signal "enb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4190 col 44 warning| incomplete sensitivity list, signal "wea_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4191 col 46 warning| incomplete sensitivity list, signal "web_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4221 col 69 warning| incomplete sensitivity list, signal "di_x" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4229 col 69 warning| incomplete sensitivity list, signal "dia_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4229 col 78 warning| incomplete sensitivity list, signal "dipa_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4232 col 30 warning| incomplete sensitivity list, signal "dib_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4236 col 21 warning| incomplete sensitivity list, signal "injectdbiterr_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4239 col 24 warning| incomplete sensitivity list, signal "injectsbiterr_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4248 col 57 warning| incomplete sensitivity list, signal "dipb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4278 col 84 warning| incomplete sensitivity list, signal "ox_addra_reconstruct" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4278 col 131 warning| incomplete sensitivity list, signal "ox_addrb_reconstruct" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4839 col 11 warning| incomplete sensitivity list, signal "rstrama_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4847 col 11 warning| incomplete sensitivity list, signal "regcea_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4869 col 54 warning| incomplete sensitivity list, signal "srval_a_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4955 col 11 warning| incomplete sensitivity list, signal "rstramb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|4980 col 11 warning| incomplete sensitivity list, signal "regceb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5001 col 54 warning| incomplete sensitivity list, signal "srval_b_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5114 col 56 warning| incomplete sensitivity list, signal "init_a_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5124 col 15 warning| incomplete sensitivity list, signal "regcea_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5125 col 31 warning| incomplete sensitivity list, signal "dbiterr_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5126 col 31 warning| incomplete sensitivity list, signal "sbiterr_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5127 col 33 warning| incomplete sensitivity list, signal "rdaddrecc_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5133 col 19 warning| incomplete sensitivity list, signal "rstrega_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5135 col 63 warning| incomplete sensitivity list, signal "srval_a_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5143 col 31 warning| incomplete sensitivity list, signal "doa_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5144 col 32 warning| incomplete sensitivity list, signal "dopa_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5181 col 20 warning| incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5195 col 20 warning| incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5249 col 56 warning| incomplete sensitivity list, signal "init_b_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5261 col 17 warning| incomplete sensitivity list, signal "regceb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5262 col 19 warning| incomplete sensitivity list, signal "rstregb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5264 col 63 warning| incomplete sensitivity list, signal "srval_b_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5272 col 31 warning| incomplete sensitivity list, signal "dob_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5273 col 32 warning| incomplete sensitivity list, signal "dopb_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5311 col 20 warning| incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|5325 col 20 warning| incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|882 col 12 warning| function "getaddrbitlsbnotsameclk" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|1050 col 8 warning| subtype "two_d_parity_array_type_initf" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|930 col 9 warning| declaration of "i" hides variable "i" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|920 col 14 warning| variable "i" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|1104 col 25 warning| variable "data_line_tmp" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|1104 col 40 warning| variable "out_data_line" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|1224 col 14 warning| declaration of "fn_dip_ecc" hides function "fn_dip_ecc" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|1617 col 13 warning| declaration of "i" hides variable "i" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|1612 col 14 warning| variable "i" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|1647 col 13 warning| declaration of "i" hides variable "i" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|1642 col 14 warning| variable "i" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|1674 col 13 warning| declaration of "i" hides variable "i" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|1670 col 14 warning| variable "i" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|2542 col 14 warning| variable "junk" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|2744 col 14 warning| variable "junk" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|3403 col 14 warning| variable "tmp_addra_dly_depth" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|3404 col 14 warning| variable "tmp_addra_dly_width" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|3405 col 14 warning| variable "tmp_addrb_dly_depth" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|3406 col 14 warning| variable "tmp_addrb_dly_width" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|3407 col 14 warning| variable "junk1" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|3434 col 14 warning| variable "message" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|3450 col 14 warning| variable "addr_col" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|3456 col 14 warning| variable "string_length_1" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|3457 col 14 warning| variable "string_length_2" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4105 col 49 warning| incomplete sensitivity list, signal "init_a_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4111 col 49 warning| incomplete sensitivity list, signal "init_b_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4126 col 21 warning| incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4127 col 52 warning| incomplete sensitivity list, signal "addra_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4134 col 21 warning| incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4135 col 52 warning| incomplete sensitivity list, signal "addrb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4194 col 13 warning| incomplete sensitivity list, signal "ena_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4194 col 30 warning| incomplete sensitivity list, signal "enb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4199 col 44 warning| incomplete sensitivity list, signal "wea_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4200 col 46 warning| incomplete sensitivity list, signal "web_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4230 col 69 warning| incomplete sensitivity list, signal "di_x" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4238 col 69 warning| incomplete sensitivity list, signal "dia_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4238 col 78 warning| incomplete sensitivity list, signal "dipa_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4241 col 30 warning| incomplete sensitivity list, signal "dib_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4245 col 21 warning| incomplete sensitivity list, signal "injectdbiterr_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4248 col 24 warning| incomplete sensitivity list, signal "injectsbiterr_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4257 col 57 warning| incomplete sensitivity list, signal "dipb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4287 col 84 warning| incomplete sensitivity list, signal "ox_addra_reconstruct" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4287 col 131 warning| incomplete sensitivity list, signal "ox_addrb_reconstruct" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4848 col 11 warning| incomplete sensitivity list, signal "rstrama_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4856 col 11 warning| incomplete sensitivity list, signal "regcea_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4878 col 54 warning| incomplete sensitivity list, signal "srval_a_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4964 col 11 warning| incomplete sensitivity list, signal "rstramb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|4989 col 11 warning| incomplete sensitivity list, signal "regceb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5010 col 54 warning| incomplete sensitivity list, signal "srval_b_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5123 col 56 warning| incomplete sensitivity list, signal "init_a_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5133 col 15 warning| incomplete sensitivity list, signal "regcea_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5134 col 31 warning| incomplete sensitivity list, signal "dbiterr_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5135 col 31 warning| incomplete sensitivity list, signal "sbiterr_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5136 col 33 warning| incomplete sensitivity list, signal "rdaddrecc_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5142 col 19 warning| incomplete sensitivity list, signal "rstrega_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5144 col 63 warning| incomplete sensitivity list, signal "srval_a_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5152 col 31 warning| incomplete sensitivity list, signal "doa_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5153 col 32 warning| incomplete sensitivity list, signal "dopa_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5190 col 20 warning| incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5204 col 20 warning| incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5258 col 56 warning| incomplete sensitivity list, signal "init_b_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5270 col 17 warning| incomplete sensitivity list, signal "regceb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5271 col 19 warning| incomplete sensitivity list, signal "rstregb_dly" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5273 col 63 warning| incomplete sensitivity list, signal "srval_b_std" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5281 col 31 warning| incomplete sensitivity list, signal "dob_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5282 col 32 warning| incomplete sensitivity list, signal "dopb_out" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5320 col 20 warning| incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|5334 col 20 warning| incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|891 col 12 warning| function "getaddrbitlsbnotsameclk" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd|1059 col 8 warning| subtype "two_d_parity_array_type_initf" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB8BWER.vhd|150 col 23 warning| extra signal "clkawrclk" in sensitivity list [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB8BWER.vhd|150 col 34 warning| extra signal "clkbrdclk" in sensitivity list [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB8BWER.vhd|129 col 8 warning| signal "rstrama_val" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB8BWER.vhd|129 col 21 warning| signal "rstramb_val" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB16BWER.vhd|197 col 23 warning| extra signal "clka" in sensitivity list [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB16BWER.vhd|197 col 29 warning| extra signal "clkb" in sensitivity list [-Wsensitivity]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|229 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|298 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|368 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|459 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|548 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|551 col 14 warning| variable "message" is never referenced [-Wunused]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|573 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|624 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|681 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|682 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|735 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|736 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|757 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|758 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|786 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|787 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|815 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|816 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|844 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|845 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|872 col 5 warning| declaration of "bram_size" hides generic "bram_size" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|873 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|922 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|940 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|1006 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|1106 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|1233 col 5 warning| declaration of "device" hides generic "device" [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|1783 col 4 warning| declaration of "web1" hides if generate statement [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|1792 col 4 warning| declaration of "web2" hides if generate statement [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd|1801 col 2 warning| declaration of "web1" hides if generate statement [-Whide]
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd|3214 col 36| no declaration for "gsr"

The error is at the last log line.

Also, it seems this gsr signal is a "global" one, defined in C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VCOMP.vhd:

-----------------------------------------
-----------   FPGA Globals --------------
-----------------------------------------
signal GSR : std_logic := '0';

This gsr signal is also causing me similar issues on the Xilinx XADC entity, and other Xilinx primitives.

I wonder what I'm doing wrong, and how these global signals from Xilinx can be managed, in the synthesis phase.

Also, all of those Xilinx primitives should be defined as black boxes when synthesizing, or it would be still possibile to synthesize them someway?

@tgingold
Copy link
Member

tgingold commented Apr 4, 2024

You are running two commands: ghdl make and ghdl synth. Which one generates the error ? I suppose this is the first one (ghdl make).
It would be nice to have a smaller reproducer!

And, these primitives should be defined as black box (except maybe unimacros)

@pidgeon777
Copy link
Author

This is what I did, we consider the source file I provided above as the input file.

  1. ghdl import -Wall -fsynopsys -fexplicit --workdir=ghdl_lib -PC:/GHDL/LLVM/lib/ghdl/vendors/altera -PC:/GHDL/LLVM/lib/ghdl/vendors/xilinx-vivado --std=08 -frelaxed FPGA\PcieBlock_x1_pcie_bram_7x.vhd

  2. ghdl make -Wall -fsynopsys -fexplicit --workdir=ghdl_lib -PC:/GHDL/LLVM/lib/ghdl/vendors/altera -PC:/GHDL/LLVM/lib/ghdl/vendors/xilinx-vivado --std=08 -frelaxed PcieBlock_x1_pcie_bram_7x

FPGA\PcieBlock_x1_pcie_bram_7x.vhd:212:5:warning: declaration of "impl_target" hides generic "impl_target" [-Whide]
    impl_target   : string)
    ^
FPGA\PcieBlock_x1_pcie_bram_7x.vhd:224:5:warning: declaration of "width" hides generic "width" [-Whide]
    WIDTH      : integer;
    ^
FPGA\PcieBlock_x1_pcie_bram_7x.vhd:239:5:warning: declaration of "width" hides generic "width" [-Whide]
    WIDTH  : integer)
    ^
FPGA\PcieBlock_x1_pcie_bram_7x.vhd:192:12:warning: function "pad_val" is never referenced [-Wunused]
  function pad_val (
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:220:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:221:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:280:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:281:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:392:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:393:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:396:14:warning: variable "message" is never referenced [-Wunused]
    variable Message : LINE;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:423:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:424:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:427:14:warning: variable "message" is never referenced [-Wunused]
    variable Message : LINE;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:454:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:485:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:486:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:528:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:529:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:564:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:565:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:599:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:600:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:644:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:707:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:710:14:warning: variable "message" is never referenced [-Wunused]
    variable Message : LINE;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:744:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:745:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:794:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:811:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:840:3:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
  bram_size : in string;
  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:841:3:warning: declaration of "device" hides generic "device" [-Whide]
  device : in string
  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:867:3:warning: declaration of "device" hides generic "device" [-Whide]
  device : in string
  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:891:3:warning: declaration of "device" hides generic "device" [-Whide]
  device : in string
  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:915:3:warning: declaration of "device" hides generic "device" [-Whide]
  device : in string
  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:939:3:warning: declaration of "device" hides generic "device" [-Whide]
  device : in string
  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:960:5:warning: declaration of "device" hides generic "device" [-Whide]
    device  : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:1024:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:1163:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:583:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:588:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:593:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:598:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:603:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:608:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:613:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:619:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:2849:9:warning: signal interface "sbiterr" of mode OUT is not connected [-Wmissing-assoc]
        ram36_bl : RAMB36E1
        ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:3048:7:warning: signal interface "sbiterr" of mode OUT is not connected [-Wmissing-assoc]
      ram36sd_bl : RAMB36E1
      ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:3248:7:warning: signal interface "sbiterr" of mode OUT is not connected [-Wmissing-assoc]
      ram36sd_bl_1 : RAMB36E1
      ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:3448:7:warning: signal interface "sbiterr" of mode OUT is not connected [-Wmissing-assoc]
      ram36sd_bl_2 : RAMB36E1
      ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_SDP_MACRO.vhd:1519:10:warning: signal "init_byte_tmp" is never referenced [-Wunused]
  signal INIT_byte_tmp : bit_vector(0 to INIT'length -1) := (others => '0');
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:1036:9:warning: declaration of "i" hides variable "i" [-Whide]
    for i in 1 to full_nibble_count loop
        ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:1025:14:warning: variable "i" is never referenced [-Wunused]
    variable i : integer := 1;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:1119:10:warning: declaration of "s" hides constant "s" [-Whide]
  signal s : in std_ulogic;
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:1132:10:warning: declaration of "s" hides constant "s" [-Whide]
  signal s : in std_ulogic;
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:1145:10:warning: declaration of "s" hides constant "s" [-Whide]
  signal s : in std_ulogic;
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:1157:10:warning: declaration of "s" hides constant "s" [-Whide]
  signal s : in std_ulogic;
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:1169:10:warning: declaration of "s" hides constant "s" [-Whide]
  signal s : in std_ulogic;
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:1182:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:1198:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\unisim_VPKG.vhd:1211:12:warning: declaration of "s" hides constant "s" [-Whide]
    signal s : in std_ulogic;
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5764:10:warning: signal "cascadeouta_dly" is never referenced [-Wunused]
  signal cascadeouta_dly : std_logic := '0';
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5765:10:warning: signal "cascadeoutb_dly" is never referenced [-Wunused]
  signal cascadeoutb_dly : std_logic := '0';
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5766:10:warning: signal "eccparity_dly" is never referenced [-Wunused]
  signal eccparity_dly : std_logic_vector(7 downto 0) :=  (others => '0');
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5767:10:warning: signal "dbiterr_dly" is never referenced [-Wunused]
  signal dbiterr_dly : std_logic := '0';
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5768:10:warning: signal "sbiterr_dly" is never referenced [-Wunused]
  signal sbiterr_dly : std_logic := '0';
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5769:10:warning: signal "rdaddrecc_dly" is never referenced [-Wunused]
  signal rdaddrecc_dly : std_logic_vector(8 downto 0) :=  (others => '1');
         ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:921:9:warning: declaration of "i" hides variable "i" [-Whide]
    for i in 1 to full_nibble_count loop
        ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:911:14:warning: variable "i" is never referenced [-Wunused]
    variable i : integer := 1;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:1095:25:warning: variable "data_line_tmp" is never referenced [-Wunused]
    variable data_line, data_line_tmp, out_data_line : line;
                        ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:1095:40:warning: variable "out_data_line" is never referenced [-Wunused]
    variable data_line, data_line_tmp, out_data_line : line;
                                       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:1215:14:warning: declaration of "fn_dip_ecc" hides function "fn_dip_ecc" [-Whide]
    variable fn_dip_ecc : std_logic_vector (7 downto 0);
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:1608:13:warning: declaration of "i" hides variable "i" [-Whide]
        for i in 0 to di'length-1 loop
            ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:1603:14:warning: variable "i" is never referenced [-Wunused]
    variable i : integer := 0;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:1638:13:warning: declaration of "i" hides variable "i" [-Whide]
        for i in 0 to di'length-1 loop
            ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:1633:14:warning: variable "i" is never referenced [-Wunused]
    variable i : integer := 0;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:1665:13:warning: declaration of "i" hides variable "i" [-Whide]
        for i in do_lindex to do_uindex loop
            ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:1661:14:warning: variable "i" is never referenced [-Wunused]
    variable i : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:2533:14:warning: variable "junk" is never referenced [-Wunused]
    variable junk : std_ulogic;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:2735:14:warning: variable "junk" is never referenced [-Wunused]
    variable junk : std_ulogic;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:3394:14:warning: variable "tmp_addra_dly_depth" is never referenced [-Wunused]
    variable tmp_addra_dly_depth : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:3395:14:warning: variable "tmp_addra_dly_width" is never referenced [-Wunused]
    variable tmp_addra_dly_width : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:3396:14:warning: variable "tmp_addrb_dly_depth" is never referenced [-Wunused]
    variable tmp_addrb_dly_depth : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:3397:14:warning: variable "tmp_addrb_dly_width" is never referenced [-Wunused]
    variable tmp_addrb_dly_width : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:3398:14:warning: variable "junk1" is never referenced [-Wunused]
    variable junk1 : std_logic;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:3425:14:warning: variable "message" is never referenced [-Wunused]
    variable message : line;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:3441:14:warning: variable "addr_col" is never referenced [-Wunused]
    variable addr_col : std_logic := '0';
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:3447:14:warning: variable "string_length_1" is never referenced [-Wunused]
    variable string_length_1 : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:3448:14:warning: variable "string_length_2" is never referenced [-Wunused]
    variable string_length_2 : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4096:49:warning: incomplete sensitivity list, signal "init_a_std" is missing [-Wsensitivity]
      doa_out(ra_width-1 downto 0) <= INIT_A_STD(ra_width-1 downto 0);
                                                ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4102:49:warning: incomplete sensitivity list, signal "init_b_std" is missing [-Wsensitivity]
      dob_out(rb_width-1 downto 0) <= INIT_B_STD(rb_width-1 downto 0);
                                                ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4117:21:warning: incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
       if (cascade_a(1) = '1') then
                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4118:52:warning: incomplete sensitivity list, signal "addra_dly" is missing [-Wsensitivity]
         addra_dly_15_reg_bram_var := not addra_dly(15);
                                                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4125:21:warning: incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
       if (cascade_b(1) = '1') then
                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4126:52:warning: incomplete sensitivity list, signal "addrb_dly" is missing [-Wsensitivity]
         addrb_dly_15_reg_bram_var := not addrb_dly(15);
                                                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4185:13:warning: incomplete sensitivity list, signal "ena_dly" is missing [-Wsensitivity]
        if (ena_dly = '0' or enb_dly = '0') then
            ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4185:30:warning: incomplete sensitivity list, signal "enb_dly" is missing [-Wsensitivity]
        if (ena_dly = '0' or enb_dly = '0') then
                             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4190:44:warning: incomplete sensitivity list, signal "wea_dly" is missing [-Wsensitivity]
        if ((WRITE_WIDTH_A <= 9 and wea_dly(0) = '0') or (WRITE_WIDTH_A = 18 and wea_dly(1 downto 0) = "00") or ((WRITE_WIDTH_A = 36 or WRITE_WIDTH_A = 72) and wea_dly(3 downto 0) = "0000")) then
                                           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4191:46:warning: incomplete sensitivity list, signal "web_dly" is missing [-Wsensitivity]
          if ((WRITE_WIDTH_B <= 9 and web_dly(0) = '0') or (WRITE_WIDTH_B = 18 and web_dly(1 downto 0) = "00") or (WRITE_WIDTH_B = 36 and web_dly(3 downto 0) = "0000") or (WRITE_WIDTH_B = 72 and web_dly(7 downto 0) = "00000000")) then
                                             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4221:69:warning: incomplete sensitivity list, signal "di_x" is missing [-Wsensitivity]
              prcd_col_wr_ram_a (viol_type, "00", web_dly, wea_dly, di_x, di_x(7 downto 0), addrb_dly, addra_dly, mem, memp, col_wr_wr_msg, col_wra_rdb_msg, col_wrb_rda_msg, chk_col_same_clk, chk_ox_same_clk, chk_ox_msg);
                                                                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4229:69:warning: incomplete sensitivity list, signal "dia_dly" is missing [-Wsensitivity]
              prcd_col_wr_ram_a (viol_type, "10", web_dly, wea_dly, dia_dly, dipa_dly, addrb_dly, addra_dly, mem, memp, col_wr_wr_msg, col_wra_rdb_msg, col_wrb_rda_msg, chk_col_same_clk, chk_ox_same_clk, chk_ox_msg);
                                                                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4229:78:warning: incomplete sensitivity list, signal "dipa_dly" is missing [-Wsensitivity]
              prcd_col_wr_ram_a (viol_type, "10", web_dly, wea_dly, dia_dly, dipa_dly, addrb_dly, addra_dly, mem, memp, col_wr_wr_msg, col_wra_rdb_msg, col_wrb_rda_msg, chk_col_same_clk, chk_ox_same_clk, chk_ox_msg);
                                                                             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4232:30:warning: incomplete sensitivity list, signal "dib_dly" is missing [-Wsensitivity]
              dib_ecc_col := dib_dly;
                             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4236:21:warning: incomplete sensitivity list, signal "injectdbiterr_dly" is missing [-Wsensitivity]
                if (injectdbiterr_dly = '1') then
                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4239:24:warning: incomplete sensitivity list, signal "injectsbiterr_dly" is missing [-Wsensitivity]
                elsif (injectsbiterr_dly = '1') then
                       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4248:57:warning: incomplete sensitivity list, signal "dipb_dly" is missing [-Wsensitivity]
                dip_ecc_col := fn_dip_ecc('1', dib_dly, dipb_dly);
                                                        ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4278:84:warning: incomplete sensitivity list, signal "ox_addra_reconstruct" is missing [-Wsensitivity]
            elsif ((wr_mode_a = "01" or wr_mode_b = "01") and (ox_addra_reconstruct(15 downto col_addr_lsb) = ox_addrb_reconstruct(15 downto col_addr_lsb))) then
                                                                                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4278:131:warning: incomplete sensitivity list, signal "ox_addrb_reconstruct" is missing [-Wsensitivity]
            elsif ((wr_mode_a = "01" or wr_mode_b = "01") and (ox_addra_reconstruct(15 downto col_addr_lsb) = ox_addrb_reconstruct(15 downto col_addr_lsb))) then
                                                                                                                                  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4839:11:warning: incomplete sensitivity list, signal "rstrama_dly" is missing [-Wsensitivity]
      if (rstrama_dly = '1' and RAM_MODE = "SDP" and (EN_ECC_WRITE = TRUE or EN_ECC_READ = TRUE)) then
          ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4847:11:warning: incomplete sensitivity list, signal "regcea_dly" is missing [-Wsensitivity]
      if (regcea_dly = '1') then
          ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4869:54:warning: incomplete sensitivity list, signal "srval_a_std" is missing [-Wsensitivity]
          doa_buf(ra_width-1 downto 0) := SRVAL_A_STD(ra_width-1 downto 0);
                                                     ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4955:11:warning: incomplete sensitivity list, signal "rstramb_dly" is missing [-Wsensitivity]
      if (rstramb_dly = '1' and RAM_MODE = "SDP" and (EN_ECC_WRITE = TRUE or EN_ECC_READ = TRUE)) then
          ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:4980:11:warning: incomplete sensitivity list, signal "regceb_dly" is missing [-Wsensitivity]
      if (regceb_dly = '1') then
          ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5001:54:warning: incomplete sensitivity list, signal "srval_b_std" is missing [-Wsensitivity]
          dob_buf(rb_width-1 downto 0) := SRVAL_B_STD(rb_width-1 downto 0);
                                                     ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5114:56:warning: incomplete sensitivity list, signal "init_a_std" is missing [-Wsensitivity]
          doa_outreg(ra_width-1 downto 0) <= INIT_A_STD(ra_width-1 downto 0);
                                                       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5124:15:warning: incomplete sensitivity list, signal "regcea_dly" is missing [-Wsensitivity]
          if (regcea_dly = '1') then
              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5125:31:warning: incomplete sensitivity list, signal "dbiterr_out" is missing [-Wsensitivity]
            dbiterr_outreg <= dbiterr_out;
                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5126:31:warning: incomplete sensitivity list, signal "sbiterr_out" is missing [-Wsensitivity]
            sbiterr_outreg <= sbiterr_out;
                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5127:33:warning: incomplete sensitivity list, signal "rdaddrecc_out" is missing [-Wsensitivity]
            rdaddrecc_outreg <= rdaddrecc_out;
                                ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5133:19:warning: incomplete sensitivity list, signal "rstrega_dly" is missing [-Wsensitivity]
              if (rstrega_dly = '1') then
                  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5135:63:warning: incomplete sensitivity list, signal "srval_a_std" is missing [-Wsensitivity]
                doa_outreg(ra_width-1 downto 0) <= SRVAL_A_STD(ra_width-1 downto 0);
                                                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5143:31:warning: incomplete sensitivity list, signal "doa_out" is missing [-Wsensitivity]
                doa_outreg <= doa_out;
                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5144:32:warning: incomplete sensitivity list, signal "dopa_out" is missing [-Wsensitivity]
                dopa_outreg <= dopa_out;
                               ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5181:20:warning: incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
      if (cascade_a(1) = '1' and addra_dly_15_reg = '1') then
                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5195:20:warning: incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
      if (cascade_a(1) = '1' and addra_dly_15_reg1 = '1') then
                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5249:56:warning: incomplete sensitivity list, signal "init_b_std" is missing [-Wsensitivity]
          dob_outreg(rb_width-1 downto 0) <= INIT_B_STD(rb_width-1 downto 0);
                                                       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5261:17:warning: incomplete sensitivity list, signal "regceb_dly" is missing [-Wsensitivity]
            if (regceb_dly = '1') then
                ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5262:19:warning: incomplete sensitivity list, signal "rstregb_dly" is missing [-Wsensitivity]
              if (rstregb_dly = '1') then
                  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5264:63:warning: incomplete sensitivity list, signal "srval_b_std" is missing [-Wsensitivity]
                dob_outreg(rb_width-1 downto 0) <= SRVAL_B_STD(rb_width-1 downto 0);
                                                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5272:31:warning: incomplete sensitivity list, signal "dob_out" is missing [-Wsensitivity]
                dob_outreg <= dob_out;
                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5273:32:warning: incomplete sensitivity list, signal "dopb_out" is missing [-Wsensitivity]
                dopb_outreg <= dopb_out;
                               ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5311:20:warning: incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
      if (cascade_b(1) = '1' and addrb_dly_15_reg = '1') then
                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:5325:20:warning: incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
      if (cascade_b(1) = '1' and addrb_dly_15_reg1 = '1') then
                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:882:12:warning: function "getaddrbitlsbnotsameclk" is never referenced [-Wunused]
  function GetAddrBitLSBNotSameClk (
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:1050:8:warning: subtype "two_d_parity_array_type_initf" is never referenced [-Wunused]
  type Two_D_parity_array_type_initf is array ((memp_depth - 1) downto 0) of std_logic_vector((widthp_initf -1) downto 0);
       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:930:9:warning: declaration of "i" hides variable "i" [-Whide]
    for i in 1 to full_nibble_count loop
        ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:920:14:warning: variable "i" is never referenced [-Wunused]
    variable i : integer := 1;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:1104:25:warning: variable "data_line_tmp" is never referenced [-Wunused]
    variable data_line, data_line_tmp, out_data_line : line;
                        ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:1104:40:warning: variable "out_data_line" is never referenced [-Wunused]
    variable data_line, data_line_tmp, out_data_line : line;
                                       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:1224:14:warning: declaration of "fn_dip_ecc" hides function "fn_dip_ecc" [-Whide]
    variable fn_dip_ecc : std_logic_vector (7 downto 0);
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:1617:13:warning: declaration of "i" hides variable "i" [-Whide]
        for i in 0 to di'length-1 loop
            ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:1612:14:warning: variable "i" is never referenced [-Wunused]
    variable i : integer := 0;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:1647:13:warning: declaration of "i" hides variable "i" [-Whide]
        for i in 0 to di'length-1 loop
            ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:1642:14:warning: variable "i" is never referenced [-Wunused]
    variable i : integer := 0;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:1674:13:warning: declaration of "i" hides variable "i" [-Whide]
        for i in do_lindex to do_uindex loop
            ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:1670:14:warning: variable "i" is never referenced [-Wunused]
    variable i : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:2542:14:warning: variable "junk" is never referenced [-Wunused]
    variable junk : std_ulogic;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:2744:14:warning: variable "junk" is never referenced [-Wunused]
    variable junk : std_ulogic;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:3403:14:warning: variable "tmp_addra_dly_depth" is never referenced [-Wunused]
    variable tmp_addra_dly_depth : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:3404:14:warning: variable "tmp_addra_dly_width" is never referenced [-Wunused]
    variable tmp_addra_dly_width : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:3405:14:warning: variable "tmp_addrb_dly_depth" is never referenced [-Wunused]
    variable tmp_addrb_dly_depth : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:3406:14:warning: variable "tmp_addrb_dly_width" is never referenced [-Wunused]
    variable tmp_addrb_dly_width : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:3407:14:warning: variable "junk1" is never referenced [-Wunused]
    variable junk1 : std_logic;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:3434:14:warning: variable "message" is never referenced [-Wunused]
    variable message : line;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:3450:14:warning: variable "addr_col" is never referenced [-Wunused]
    variable addr_col : std_logic := '0';
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:3456:14:warning: variable "string_length_1" is never referenced [-Wunused]
    variable string_length_1 : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:3457:14:warning: variable "string_length_2" is never referenced [-Wunused]
    variable string_length_2 : integer;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4105:49:warning: incomplete sensitivity list, signal "init_a_std" is missing [-Wsensitivity]
      doa_out(ra_width-1 downto 0) <= INIT_A_STD(ra_width-1 downto 0);
                                                ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4111:49:warning: incomplete sensitivity list, signal "init_b_std" is missing [-Wsensitivity]
      dob_out(rb_width-1 downto 0) <= INIT_B_STD(rb_width-1 downto 0);
                                                ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4126:21:warning: incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
       if (cascade_a(1) = '1') then
                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4127:52:warning: incomplete sensitivity list, signal "addra_dly" is missing [-Wsensitivity]
         addra_dly_15_reg_bram_var := not addra_dly(15);
                                                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4134:21:warning: incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
       if (cascade_b(1) = '1') then
                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4135:52:warning: incomplete sensitivity list, signal "addrb_dly" is missing [-Wsensitivity]
         addrb_dly_15_reg_bram_var := not addrb_dly(15);
                                                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4194:13:warning: incomplete sensitivity list, signal "ena_dly" is missing [-Wsensitivity]
        if (ena_dly = '0' or enb_dly = '0') then
            ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4194:30:warning: incomplete sensitivity list, signal "enb_dly" is missing [-Wsensitivity]
        if (ena_dly = '0' or enb_dly = '0') then
                             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4199:44:warning: incomplete sensitivity list, signal "wea_dly" is missing [-Wsensitivity]
        if ((WRITE_WIDTH_A <= 9 and wea_dly(0) = '0') or (WRITE_WIDTH_A = 18 and wea_dly(1 downto 0) = "00") or ((WRITE_WIDTH_A = 36 or WRITE_WIDTH_A = 72) and wea_dly(3 downto 0) = "0000")) then
                                           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4200:46:warning: incomplete sensitivity list, signal "web_dly" is missing [-Wsensitivity]
          if ((WRITE_WIDTH_B <= 9 and web_dly(0) = '0') or (WRITE_WIDTH_B = 18 and web_dly(1 downto 0) = "00") or (WRITE_WIDTH_B = 36 and web_dly(3 downto 0) = "0000") or (WRITE_WIDTH_B = 72 and web_dly(7 downto 0) = "00000000")) then
                                             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4230:69:warning: incomplete sensitivity list, signal "di_x" is missing [-Wsensitivity]
              prcd_col_wr_ram_a (viol_type, "00", web_dly, wea_dly, di_x, di_x(7 downto 0), addrb_dly, addra_dly, mem, memp, col_wr_wr_msg, col_wra_rdb_msg, col_wrb_rda_msg, chk_col_same_clk, chk_ox_same_clk, chk_ox_msg);
                                                                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4238:69:warning: incomplete sensitivity list, signal "dia_dly" is missing [-Wsensitivity]
              prcd_col_wr_ram_a (viol_type, "10", web_dly, wea_dly, dia_dly, dipa_dly, addrb_dly, addra_dly, mem, memp, col_wr_wr_msg, col_wra_rdb_msg, col_wrb_rda_msg, chk_col_same_clk, chk_ox_same_clk, chk_ox_msg);
                                                                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4238:78:warning: incomplete sensitivity list, signal "dipa_dly" is missing [-Wsensitivity]
              prcd_col_wr_ram_a (viol_type, "10", web_dly, wea_dly, dia_dly, dipa_dly, addrb_dly, addra_dly, mem, memp, col_wr_wr_msg, col_wra_rdb_msg, col_wrb_rda_msg, chk_col_same_clk, chk_ox_same_clk, chk_ox_msg);
                                                                             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4241:30:warning: incomplete sensitivity list, signal "dib_dly" is missing [-Wsensitivity]
              dib_ecc_col := dib_dly;
                             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4245:21:warning: incomplete sensitivity list, signal "injectdbiterr_dly" is missing [-Wsensitivity]
                if (injectdbiterr_dly = '1') then
                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4248:24:warning: incomplete sensitivity list, signal "injectsbiterr_dly" is missing [-Wsensitivity]
                elsif (injectsbiterr_dly = '1') then
                       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4257:57:warning: incomplete sensitivity list, signal "dipb_dly" is missing [-Wsensitivity]
                dip_ecc_col := fn_dip_ecc('1', dib_dly, dipb_dly);
                                                        ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4287:84:warning: incomplete sensitivity list, signal "ox_addra_reconstruct" is missing [-Wsensitivity]
            elsif ((wr_mode_a = "01" or wr_mode_b = "01") and (ox_addra_reconstruct(15 downto col_addr_lsb) = ox_addrb_reconstruct(15 downto col_addr_lsb))) then
                                                                                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4287:131:warning: incomplete sensitivity list, signal "ox_addrb_reconstruct" is missing [-Wsensitivity]
            elsif ((wr_mode_a = "01" or wr_mode_b = "01") and (ox_addra_reconstruct(15 downto col_addr_lsb) = ox_addrb_reconstruct(15 downto col_addr_lsb))) then
                                                                                                                                  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4848:11:warning: incomplete sensitivity list, signal "rstrama_dly" is missing [-Wsensitivity]
      if (rstrama_dly = '1' and RAM_MODE = "SDP" and (EN_ECC_WRITE = TRUE or EN_ECC_READ = TRUE)) then
          ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4856:11:warning: incomplete sensitivity list, signal "regcea_dly" is missing [-Wsensitivity]
      if (regcea_dly = '1') then
          ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4878:54:warning: incomplete sensitivity list, signal "srval_a_std" is missing [-Wsensitivity]
          doa_buf(ra_width-1 downto 0) := SRVAL_A_STD(ra_width-1 downto 0);
                                                     ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4964:11:warning: incomplete sensitivity list, signal "rstramb_dly" is missing [-Wsensitivity]
      if (rstramb_dly = '1' and RAM_MODE = "SDP" and (EN_ECC_WRITE = TRUE or EN_ECC_READ = TRUE)) then
          ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:4989:11:warning: incomplete sensitivity list, signal "regceb_dly" is missing [-Wsensitivity]
      if (regceb_dly = '1') then
          ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5010:54:warning: incomplete sensitivity list, signal "srval_b_std" is missing [-Wsensitivity]
          dob_buf(rb_width-1 downto 0) := SRVAL_B_STD(rb_width-1 downto 0);
                                                     ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5123:56:warning: incomplete sensitivity list, signal "init_a_std" is missing [-Wsensitivity]
          doa_outreg(ra_width-1 downto 0) <= INIT_A_STD(ra_width-1 downto 0);
                                                       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5133:15:warning: incomplete sensitivity list, signal "regcea_dly" is missing [-Wsensitivity]
          if (regcea_dly = '1') then
              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5134:31:warning: incomplete sensitivity list, signal "dbiterr_out" is missing [-Wsensitivity]
            dbiterr_outreg <= dbiterr_out;
                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5135:31:warning: incomplete sensitivity list, signal "sbiterr_out" is missing [-Wsensitivity]
            sbiterr_outreg <= sbiterr_out;
                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5136:33:warning: incomplete sensitivity list, signal "rdaddrecc_out" is missing [-Wsensitivity]
            rdaddrecc_outreg <= rdaddrecc_out;
                                ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5142:19:warning: incomplete sensitivity list, signal "rstrega_dly" is missing [-Wsensitivity]
              if (rstrega_dly = '1') then
                  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5144:63:warning: incomplete sensitivity list, signal "srval_a_std" is missing [-Wsensitivity]
                doa_outreg(ra_width-1 downto 0) <= SRVAL_A_STD(ra_width-1 downto 0);
                                                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5152:31:warning: incomplete sensitivity list, signal "doa_out" is missing [-Wsensitivity]
                doa_outreg <= doa_out;
                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5153:32:warning: incomplete sensitivity list, signal "dopa_out" is missing [-Wsensitivity]
                dopa_outreg <= dopa_out;
                               ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5190:20:warning: incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
      if (cascade_a(1) = '1' and addra_dly_15_reg = '1') then
                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5204:20:warning: incomplete sensitivity list, signal "cascade_a" is missing [-Wsensitivity]
      if (cascade_a(1) = '1' and addra_dly_15_reg1 = '1') then
                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5258:56:warning: incomplete sensitivity list, signal "init_b_std" is missing [-Wsensitivity]
          dob_outreg(rb_width-1 downto 0) <= INIT_B_STD(rb_width-1 downto 0);
                                                       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5270:17:warning: incomplete sensitivity list, signal "regceb_dly" is missing [-Wsensitivity]
            if (regceb_dly = '1') then
                ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5271:19:warning: incomplete sensitivity list, signal "rstregb_dly" is missing [-Wsensitivity]
              if (rstregb_dly = '1') then
                  ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5273:63:warning: incomplete sensitivity list, signal "srval_b_std" is missing [-Wsensitivity]
                dob_outreg(rb_width-1 downto 0) <= SRVAL_B_STD(rb_width-1 downto 0);
                                                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5281:31:warning: incomplete sensitivity list, signal "dob_out" is missing [-Wsensitivity]
                dob_outreg <= dob_out;
                              ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5282:32:warning: incomplete sensitivity list, signal "dopb_out" is missing [-Wsensitivity]
                dopb_outreg <= dopb_out;
                               ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5320:20:warning: incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
      if (cascade_b(1) = '1' and addrb_dly_15_reg = '1') then
                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:5334:20:warning: incomplete sensitivity list, signal "cascade_b" is missing [-Wsensitivity]
      if (cascade_b(1) = '1' and addrb_dly_15_reg1 = '1') then
                   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:891:12:warning: function "getaddrbitlsbnotsameclk" is never referenced [-Wunused]
  function GetAddrBitLSBNotSameClk (
           ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB36E1.vhd:1059:8:warning: subtype "two_d_parity_array_type_initf" is never referenced [-Wunused]
  type Two_D_parity_array_type_initf is array ((memp_depth - 1) downto 0) of std_logic_vector((widthp_initf -1) downto 0);
       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB8BWER.vhd:150:23:warning: extra signal "clkawrclk" in sensitivity list [-Wsensitivity]
  prcs_init: process (CLKAWRCLK, CLKBRDCLK)
                      ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB8BWER.vhd:150:34:warning: extra signal "clkbrdclk" in sensitivity list [-Wsensitivity]
  prcs_init: process (CLKAWRCLK, CLKBRDCLK)
                                 ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB8BWER.vhd:129:8:warning: signal "rstrama_val" is never referenced [-Wunused]
signal rstrama_val, rstramb_val : std_ulogic;
       ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB8BWER.vhd:129:21:warning: signal "rstramb_val" is never referenced [-Wunused]
signal rstrama_val, rstramb_val : std_ulogic;
                    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB16BWER.vhd:197:23:warning: extra signal "clka" in sensitivity list [-Wsensitivity]
  prcs_init: process (CLKA, CLKB)
                      ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\retarget\RAMB16BWER.vhd:197:29:warning: extra signal "clkb" in sensitivity list [-Wsensitivity]
  prcs_init: process (CLKA, CLKB)
                            ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:229:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:298:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:368:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:459:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:548:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:551:14:warning: variable "message" is never referenced [-Wunused]
    variable Message : LINE;
             ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:573:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:624:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:681:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:682:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:735:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:736:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:757:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:758:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:786:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:787:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:815:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:816:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:844:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:845:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:872:5:warning: declaration of "bram_size" hides generic "bram_size" [-Whide]
    bram_size : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:873:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:922:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:940:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:1006:5:warning: declaration of "device" hides generic "device" [-Whide]
    device  : in string
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:1106:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:1233:5:warning: declaration of "device" hides generic "device" [-Whide]
    device : in string;
    ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:1783:4:warning: declaration of "web1" hides if generate statement [-Whide]
   web1 : web_pattern <= (WEB & WEB) when (BRAM_SIZE = "18Kb" and web_width = 1 ) else
   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:1792:4:warning: declaration of "web2" hides if generate statement [-Whide]
   web2 : web_pattern <= (WEB & WEB) when (BRAM_SIZE = "9Kb" and web_width = 1 ) else
   ^
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unimacro\BRAM_TDP_MACRO.vhd:1801:2:warning: declaration of "web1" hides if generate statement [-Whide]
 web1 : web_pattern_bl <= ("00" & WEB & WEB) when (BRAM_SIZE = "18Kb" and web_width = 1  ) else
 ^
elaborate pcieblock_x1_pcie_bram_7x
  1. ghdl synth -Wall -fsynopsys -fexplicit --workdir=ghdl_lib -PC:/GHDL/LLVM/lib/ghdl/vendors/altera -PC:/GHDL/LLVM/lib/ghdl/vendors/xilinx-vivado --std=08 -frelaxed --out=verilog --vendor-library=unisim --vendor-library=unimacro PcieBlock_x1_pcie_bram_7x > ghdl_out\PcieBlock_x1_pcie_bram_7x_ghdl_synth.v
C:\Xilinx\Vivado\2023.2\data\vhdl\src\unisims\primitive\RAMB18E1.vhd:3214:36: no declaration for "gsr"
    gsr_dly              <= TO_X01(GSR)         after 0 ps;
                                   ^

@pidgeon777
Copy link
Author

Hello @tgingold, just wanted to ask if these steps are enough or if you need more information to replicate the issue. If yes, I'll be more than willing to provide them 👍.

@tgingold
Copy link
Member

As I don't have vivado installed on my machine, it would be nice if you could create a standalone reproducer.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants