{"payload":{"feedbackUrl":"https://github.com/orgs/community/discussions/53140","repo":{"id":34695562,"defaultBranch":"main","name":"chisel","ownerLogin":"chipsalliance","currentUserCanPush":false,"isFork":false,"isEmpty":false,"createdAt":"2015-04-27T22:55:56.000Z","ownerAvatar":"https://avatars.githubusercontent.com/u/46612642?v=4","public":true,"private":false,"isOrgOwned":true},"refInfo":{"name":"","listCacheKey":"v0:1717042583.0","currentOid":""},"activityList":{"items":[{"before":"a82248371e73363544145926fcfad0fcbb1bef7d","after":"0e2ae49431f3e0473274ecca46945345e3fe7cd6","ref":"refs/heads/mergify/bp/6.x/pr-4064","pushedAt":"2024-05-30T04:43:03.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jackkoenig","name":"Jack Koenig","path":"/jackkoenig","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8584418?s=80&v=4"},"commit":{"message":"Remove notion of probe color which is a Chisel 7 feature","shortMessageHtmlLink":"Remove notion of probe color which is a Chisel 7 feature"}},{"before":null,"after":"a82248371e73363544145926fcfad0fcbb1bef7d","ref":"refs/heads/mergify/bp/6.x/pr-4064","pushedAt":"2024-05-30T04:16:23.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"mergify[bot]","name":null,"path":"/apps/mergify","primaryAvatarUrl":"https://avatars.githubusercontent.com/in/10562?s=80&v=4"},"commit":{"message":"checkTypeEquivalence now considers ProbeInfo (#4064)\n\n* type equivalence includes probe\n* Add type equivalence checks for Probes, including writeability and color.\n\n(cherry picked from commit 62f8a05eb09fac4551893aa79d8fb88b37ea326b)","shortMessageHtmlLink":"checkTypeEquivalence now considers ProbeInfo (#4064)"}},{"before":null,"after":"7971782d99dcf19f08c001dbb842acc46522ae30","ref":"refs/heads/mergify/bp/6.x/pr-4105","pushedAt":"2024-05-30T03:46:19.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"mergify[bot]","name":null,"path":"/apps/mergify","primaryAvatarUrl":"https://avatars.githubusercontent.com/in/10562?s=80&v=4"},"commit":{"message":"FixedIO__Modules with various kinds of probe ports (#4105)\n\n* - add probe IOs to FixedIOModuleSpec\n* getMatchedFields: don't recurse through probes.\n* update scaladoc for FlatIO for probe types\n\n---------\n\nCo-authored-by: Will Dietz \n(cherry picked from commit 0553b6943de997a5008111ba4ae756219f461f9f)\n\n# Conflicts:\n#\tcore/src/main/scala/chisel3/Data.scala","shortMessageHtmlLink":"FixedIO__Modules with various kinds of probe ports (#4105)"}},{"before":"5a32d636e0b4807d639fb5c8ae011c347700a99e","after":"4ae729b25dccfc458e68031f6222c5a4bce0cc0b","ref":"refs/heads/gh-pages","pushedAt":"2024-05-30T02:00:37.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"github-actions[bot]","name":null,"path":"/apps/github-actions","primaryAvatarUrl":"https://avatars.githubusercontent.com/in/15368?s=80&v=4"},"commit":{"message":"deploy: 0553b6943de997a5008111ba4ae756219f461f9f","shortMessageHtmlLink":"deploy: 0553b69"}},{"before":"f99df1442dc39388e4a325972c70375a13e8f934","after":null,"ref":"refs/heads/probes-and-fixedio","pushedAt":"2024-05-30T01:45:32.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"mwachs5","name":"Megan Wachs","path":"/mwachs5","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/17858596?s=80&v=4"}},{"before":"ca49d5779934d4e0a163d3a84d88fe3a5c4a63a5","after":"0553b6943de997a5008111ba4ae756219f461f9f","ref":"refs/heads/main","pushedAt":"2024-05-30T01:45:31.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"mwachs5","name":"Megan Wachs","path":"/mwachs5","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/17858596?s=80&v=4"},"commit":{"message":"FixedIO__Modules with various kinds of probe ports (#4105)\n\n* - add probe IOs to FixedIOModuleSpec\r\n* getMatchedFields: don't recurse through probes.\r\n* update scaladoc for FlatIO for probe types\r\n\r\n---------\r\n\r\nCo-authored-by: Will Dietz ","shortMessageHtmlLink":"FixedIO__Modules with various kinds of probe ports (#4105)"}},{"before":"2d703418f72a00ba3d791f41e0aac1fb1bd9b138","after":"f99df1442dc39388e4a325972c70375a13e8f934","ref":"refs/heads/probes-and-fixedio","pushedAt":"2024-05-29T23:42:42.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"mwachs5","name":"Megan Wachs","path":"/mwachs5","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/17858596?s=80&v=4"},"commit":{"message":"provide full path for scaladoc","shortMessageHtmlLink":"provide full path for scaladoc"}},{"before":"5100de8ab6b582336d63e9f448188b4f55dc9ece","after":"2d703418f72a00ba3d791f41e0aac1fb1bd9b138","ref":"refs/heads/probes-and-fixedio","pushedAt":"2024-05-29T23:13:04.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"mwachs5","name":"Megan Wachs","path":"/mwachs5","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/17858596?s=80&v=4"},"commit":{"message":"add an import for scaladoc...?","shortMessageHtmlLink":"add an import for scaladoc...?"}},{"before":"369a100b2e07d88c56d509901c54bd0a5f465649","after":"5100de8ab6b582336d63e9f448188b4f55dc9ece","ref":"refs/heads/probes-and-fixedio","pushedAt":"2024-05-29T19:57:43.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"mwachs5","name":"Megan Wachs","path":"/mwachs5","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/17858596?s=80&v=4"},"commit":{"message":"scalafmt","shortMessageHtmlLink":"scalafmt"}},{"before":"941e6848af5edc9b78b41993e35d58d706bdb113","after":"369a100b2e07d88c56d509901c54bd0a5f465649","ref":"refs/heads/probes-and-fixedio","pushedAt":"2024-05-29T19:55:26.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"mwachs5","name":"Megan Wachs","path":"/mwachs5","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/17858596?s=80&v=4"},"commit":{"message":"update scaladoc for FlatIO for probe types","shortMessageHtmlLink":"update scaladoc for FlatIO for probe types"}},{"before":"39ffaa72074677ff2f16efd080918a420156d513","after":"941e6848af5edc9b78b41993e35d58d706bdb113","ref":"refs/heads/probes-and-fixedio","pushedAt":"2024-05-29T19:54:08.000Z","pushType":"push","commitsCount":5,"pusher":{"login":"mwachs5","name":"Megan Wachs","path":"/mwachs5","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/17858596?s=80&v=4"},"commit":{"message":"cleanup and scalafmt","shortMessageHtmlLink":"cleanup and scalafmt"}},{"before":"32e53a02f527fcfa74a417e37babb8984f62ad96","after":"02f7c28d17d915c165f3985cd60d78e17e5c70ed","ref":"refs/heads/mergify/bp/5.x/pr-4107","pushedAt":"2024-05-29T19:07:36.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"jackkoenig","name":"Jack Koenig","path":"/jackkoenig","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8584418?s=80&v=4"},"commit":{"message":"Resolve backport conflicts","shortMessageHtmlLink":"Resolve backport conflicts"}},{"before":"5526a88c6c2b5f071c4c544380a562376257c1da","after":null,"ref":"refs/heads/mergify/bp/6.x/pr-4107","pushedAt":"2024-05-29T19:07:00.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"chiselbot","name":"Chisel Bot","path":"/chiselbot","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/132698914?s=80&v=4"}},{"before":"680f0431cae65abebba6fb8017e4190284504edb","after":"c6eff4522133a06444b4ce41d05d9f95ee0ecc5d","ref":"refs/heads/6.x","pushedAt":"2024-05-29T19:06:59.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"chiselbot","name":"Chisel Bot","path":"/chiselbot","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/132698914?s=80&v=4"},"commit":{"message":"Support views of ports in ChiselSim (#4107) (#4110)\n\nAlso fix reifySingleData to return the Data itself if it is not a view.\n\n(cherry picked from commit ca49d5779934d4e0a163d3a84d88fe3a5c4a63a5)\n\nCo-authored-by: Jack Koenig ","shortMessageHtmlLink":"Support views of ports in ChiselSim (#4107) (#4110)"}},{"before":"4aa5b400b770aa6c3f003cc66f5defa9a3117e20","after":"5a32d636e0b4807d639fb5c8ae011c347700a99e","ref":"refs/heads/gh-pages","pushedAt":"2024-05-29T19:05:40.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"github-actions[bot]","name":null,"path":"/apps/github-actions","primaryAvatarUrl":"https://avatars.githubusercontent.com/in/15368?s=80&v=4"},"commit":{"message":"deploy: ca49d5779934d4e0a163d3a84d88fe3a5c4a63a5","shortMessageHtmlLink":"deploy: ca49d57"}},{"before":null,"after":"5526a88c6c2b5f071c4c544380a562376257c1da","ref":"refs/heads/mergify/bp/6.x/pr-4107","pushedAt":"2024-05-29T18:50:55.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"mergify[bot]","name":null,"path":"/apps/mergify","primaryAvatarUrl":"https://avatars.githubusercontent.com/in/10562?s=80&v=4"},"commit":{"message":"Support views of ports in ChiselSim (#4107)\n\nAlso fix reifySingleData to return the Data itself if it is not a view.\n\n(cherry picked from commit ca49d5779934d4e0a163d3a84d88fe3a5c4a63a5)","shortMessageHtmlLink":"Support views of ports in ChiselSim (#4107)"}},{"before":null,"after":"32e53a02f527fcfa74a417e37babb8984f62ad96","ref":"refs/heads/mergify/bp/5.x/pr-4107","pushedAt":"2024-05-29T18:50:54.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"mergify[bot]","name":null,"path":"/apps/mergify","primaryAvatarUrl":"https://avatars.githubusercontent.com/in/10562?s=80&v=4"},"commit":{"message":"Support views of ports in ChiselSim (#4107)\n\nAlso fix reifySingleData to return the Data itself if it is not a view.\n\n(cherry picked from commit ca49d5779934d4e0a163d3a84d88fe3a5c4a63a5)\n\n# Conflicts:\n#\tsrc/main/scala/chisel3/simulator/package.scala","shortMessageHtmlLink":"Support views of ports in ChiselSim (#4107)"}},{"before":"f7a792ced6d0aaf79d61e4aed9436e0e13e8963a","after":null,"ref":"refs/heads/jackkoenig/chiselsim-reify-views","pushedAt":"2024-05-29T18:50:11.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"jackkoenig","name":"Jack Koenig","path":"/jackkoenig","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8584418?s=80&v=4"}},{"before":"2f9faa28c4210054a1794548f5ddf5032677732d","after":"ca49d5779934d4e0a163d3a84d88fe3a5c4a63a5","ref":"refs/heads/main","pushedAt":"2024-05-29T18:50:10.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"jackkoenig","name":"Jack Koenig","path":"/jackkoenig","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8584418?s=80&v=4"},"commit":{"message":"Support views of ports in ChiselSim (#4107)\n\nAlso fix reifySingleData to return the Data itself if it is not a view.","shortMessageHtmlLink":"Support views of ports in ChiselSim (#4107)"}},{"before":"4c8eac634f36c41aab4fb9aedfedcb6e49dfae29","after":"39ffaa72074677ff2f16efd080918a420156d513","ref":"refs/heads/probes-and-fixedio","pushedAt":"2024-05-29T18:24:44.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"mwachs5","name":"Megan Wachs","path":"/mwachs5","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/17858596?s=80&v=4"},"commit":{"message":"more support for FixedIO","shortMessageHtmlLink":"more support for FixedIO"}},{"before":"07ebde536abb7626bcfc46a6b856f63c979f43fc","after":"680f0431cae65abebba6fb8017e4190284504edb","ref":"refs/heads/6.x","pushedAt":"2024-05-29T18:16:34.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"chiselbot","name":"Chisel Bot","path":"/chiselbot","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/132698914?s=80&v=4"},"commit":{"message":"Fix 0 width signals chiselsim (backport #4100) (#4104)\n\n* Fix 0 width signals chiselsim (#4100)\n\n(cherry picked from commit 2f9faa28c4210054a1794548f5ddf5032677732d)\n\n# Conflicts:\n#\tsrc/test/scala/chiselTests/simulator/SimulatorSpec.scala\n\n* Resolve backport conflicts\n\n---------\n\nCo-authored-by: Raffaele Meloni \nCo-authored-by: Jack Koenig ","shortMessageHtmlLink":"Fix 0 width signals chiselsim (backport #4100) (#4104)"}},{"before":"795b899673d4bd663d04e98d807ad4e8bfc38e74","after":null,"ref":"refs/heads/mergify/bp/6.x/pr-4100","pushedAt":"2024-05-29T18:16:34.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"chiselbot","name":"Chisel Bot","path":"/chiselbot","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/132698914?s=80&v=4"}},{"before":"55a47b1c2fc1d95c17c6c36cb402c460afe59a40","after":"795b899673d4bd663d04e98d807ad4e8bfc38e74","ref":"refs/heads/mergify/bp/6.x/pr-4100","pushedAt":"2024-05-29T18:00:51.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"jackkoenig","name":"Jack Koenig","path":"/jackkoenig","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8584418?s=80&v=4"},"commit":{"message":"Resolve backport conflicts","shortMessageHtmlLink":"Resolve backport conflicts"}},{"before":"d65599a95095681ec51b0d8435b9b6c7270415ee","after":null,"ref":"refs/heads/mergify/bp/5.x/pr-4100","pushedAt":"2024-05-29T17:50:56.000Z","pushType":"branch_deletion","commitsCount":0,"pusher":{"login":"chiselbot","name":"Chisel Bot","path":"/chiselbot","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/132698914?s=80&v=4"}},{"before":"9b3918ed224786fd4b146ed776e591f5fa9cab02","after":"de4d945f34316e90b80bfb0ff8c6191bf809a62d","ref":"refs/heads/5.x","pushedAt":"2024-05-29T17:50:55.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"chiselbot","name":"Chisel Bot","path":"/chiselbot","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/132698914?s=80&v=4"},"commit":{"message":"Fix 0 width signals chiselsim (backport #4100) (#4103)\n\n* Fix 0 width signals chiselsim (#4100)\n\n(cherry picked from commit 2f9faa28c4210054a1794548f5ddf5032677732d)\n\n# Conflicts:\n#\tsrc/test/scala/chiselTests/simulator/SimulatorSpec.scala\n\n* Resolve backport conflicts\n\n---------\n\nCo-authored-by: Raffaele Meloni \nCo-authored-by: Jack Koenig ","shortMessageHtmlLink":"Fix 0 width signals chiselsim (backport #4100) (#4103)"}},{"before":"d360097385575ca45f2cf973b35cc79b710b10c9","after":"d65599a95095681ec51b0d8435b9b6c7270415ee","ref":"refs/heads/mergify/bp/5.x/pr-4100","pushedAt":"2024-05-29T17:37:16.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"jackkoenig","name":"Jack Koenig","path":"/jackkoenig","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8584418?s=80&v=4"},"commit":{"message":"Resolve backport conflicts","shortMessageHtmlLink":"Resolve backport conflicts"}},{"before":"4f7472dac543a4734e2497dbd2177029c0598a20","after":"4c8eac634f36c41aab4fb9aedfedcb6e49dfae29","ref":"refs/heads/probes-and-fixedio","pushedAt":"2024-05-29T14:02:39.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"dtzSiFive","name":"Will Dietz","path":"/dtzSiFive","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/104031989?s=80&v=4"},"commit":{"message":"getMatchedFields: don't recurse through probes.\n\nProvide similar behavior to getRecursiveFields (via DataMirror).","shortMessageHtmlLink":"getMatchedFields: don't recurse through probes."}},{"before":"151d63bb110b8939424a8c5e5746b9889b244a30","after":"2f9faa28c4210054a1794548f5ddf5032677732d","ref":"refs/heads/ci/ci-circt-nightly","pushedAt":"2024-05-29T11:05:33.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"github-actions[bot]","name":null,"path":"/apps/github-actions","primaryAvatarUrl":"https://avatars.githubusercontent.com/in/15368?s=80&v=4"},"commit":{"message":"Fix 0 width signals chiselsim (#4100)","shortMessageHtmlLink":"Fix 0 width signals chiselsim (#4100)"}},{"before":"a2c469759667fcdec4e39d3a064b1508fc21bd6b","after":"f7a792ced6d0aaf79d61e4aed9436e0e13e8963a","ref":"refs/heads/jackkoenig/chiselsim-reify-views","pushedAt":"2024-05-29T02:00:49.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"jackkoenig","name":"Jack Koenig","path":"/jackkoenig","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8584418?s=80&v=4"},"commit":{"message":"Support views of ports in ChiselSim\n\nAlso fix reifySingleData to return the Data itself if it is not a view.","shortMessageHtmlLink":"Support views of ports in ChiselSim"}},{"before":"81bdf1e595048d092176b2ed77e56606190696b3","after":"a2c469759667fcdec4e39d3a064b1508fc21bd6b","ref":"refs/heads/jackkoenig/chiselsim-reify-views","pushedAt":"2024-05-29T02:00:03.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"jackkoenig","name":"Jack Koenig","path":"/jackkoenig","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/8584418?s=80&v=4"},"commit":{"message":"Support views of ports in ChiselSim\n\nAlso fix reifySingleData to return the Data itself if it is not a view.","shortMessageHtmlLink":"Support views of ports in ChiselSim"}}],"hasNextPage":true,"hasPreviousPage":false,"activityType":"all","actor":null,"timePeriod":"all","sort":"DESC","perPage":30,"cursor":"djE6ks8AAAAEV5yJ9QA","startCursor":null,"endCursor":null}},"title":"Activity ยท chipsalliance/chisel"}