diff --git a/picorv32.v b/picorv32.v index f13cea9..cc45fa9 100644 --- a/picorv32.v +++ b/picorv32.v @@ -652,7 +652,8 @@ module picorv32 #( reg instr_getq, instr_setq, instr_retirq, instr_maskirq, instr_waitirq, instr_timer; wire instr_trap; - reg [regindex_bits-1:0] decoded_rd, decoded_rs1, decoded_rs2; + reg [regindex_bits-1:0] decoded_rd, decoded_rs1; + reg [4:0] decoded_rs2; reg [31:0] decoded_imm, decoded_imm_j; reg decoder_trigger; reg decoder_trigger_q;