Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Expose a low level raw way to call salt functions without the typechecking #118

Open
lucidd opened this issue Sep 24, 2015 · 1 comment
Open

Comments

@lucidd
Copy link
Member

lucidd commented Sep 24, 2015

The idea is to provide a low level interface to call salt modules without the need to have a Call object. This should make it easy to call functions that are not implemented as Call objects yet.

@isbm
Copy link

isbm commented Sep 24, 2015

Not exactly as low level, but add a generic type-less way of caller, which will enable to call anything as it is returning merely raw data that user will need to figure out by himself. Surely, it will add overhead of boilerplate code, but then users will have a choice:

  • Implement new stuff & submit patch here. But this has downside as not everyone can do this due to the common internal company policies that forbids this.
  • Use generic way with own boilerplate and then later replace, once library matches their expectations.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

2 participants